Model simulation: Eviews9 vs. Eviews8

For technical support, tips and tricks, suggestions, or any other information regarding the EViews model object.

Moderators: EViews Gareth, EViews Moderator

pappace
Posts: 3
Joined: Wed Dec 23, 2015 9:23 am

Model simulation: Eviews9 vs. Eviews8

Postby pappace » Wed Mar 16, 2016 7:26 am

Dear all,

I am currently performing simulations using a small-scale macroeconomic model under Eviews9, since this is the version installed on my office computer. Furthermore, Eviews8 has been installed on my personal laptop. Both softwares have been updated with the most recent patches. I have recently run the same model, i.e. the same prg with the same dataset, using both Eviews8 and Eviews9. The problem I observe is that the simulation results I obtain after solving the model with the two Eviews versions are not exactly the same, as one should expect. Differences are at most of 1/100 order, but in some ways these impact the simulation output for the main model variables. I was a little bit surprised, since I did not face this problem using Eviews7 up to some months ago. I hope you can provide me some help, and indications how to get the same results.

Many Thanks.

p

EViews Gareth
Fe ddaethom, fe welon, fe amcangyfrifon
Posts: 13294
Joined: Tue Sep 16, 2008 5:38 pm

Re: Model simulation: Eviews9 vs. Eviews8

Postby EViews Gareth » Wed Mar 16, 2016 7:44 am

Without knowing exactly what you are doing, it is difficult to say.
Follow us on Twitter @IHSEViews


Return to “Models”

Who is online

Users browsing this forum: No registered users and 6 guests